欢迎来到大屏幕显示业绩榜 [ 业绩榜首页 - 网站地图 ]
您现在的位置:首页 > 实用工具 > LED显示屏常用资料、工具、软件下载 > 基于CPLD的LED显示屏控制系统的设计
基于CPLD的LED显示屏控制系统的设计上传时间:2012-06-13
分享到
下载本文档需要登录,并付出相应积分。如何获取积分?
  • 大小:220KB
  • 所需积分:50
说明:文章主要阐述以单片机+CPLD的方案进行的LED大屏幕显示控制系统的设计。以单片机作为系统的数据通信控制和扩展其它功能,CPLD作为显示屏正常动态显示的硬件控制,采用模块化的设计,使结构简单,修改功能方便,同时充分利用了单片机实现多任务的特点,便于功能扩展,硬件电路简单。
LED显示屏其他相关下载
首页|案例|行业资讯|视频演示|实用工具|关于我们
本站部分图文内容转载自互联网。您若发现有侵犯您著作权的,请及时告知,我们将在第一时间删除侵权作品,停止继续传播。
业绩榜http://www.yejibang.com 备案许可证号:陕ICP备11000217号-8

陕公网安备 61019002000416号